国产亚洲精品福利在线无卡一,国产精久久一区二区三区,亚洲精品无码国模,精品久久久久久无码专区不卡

當(dāng)前位置: 首頁 > news >正文

電商網(wǎng)站用php做的嗎網(wǎng)絡(luò)營銷的表現(xiàn)形式有哪些

電商網(wǎng)站用php做的嗎,網(wǎng)絡(luò)營銷的表現(xiàn)形式有哪些,今天戰(zhàn)爭最新消息新聞,韓國吃秀在哪個(gè)網(wǎng)站做直播LV5_位拆分與運(yùn)算 題目來源于??途W(wǎng) [牛客網(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 題目 題目描述: 現(xiàn)在輸入了一個(gè)壓縮的16位數(shù)據(jù),其實(shí)際上包含了四個(gè)數(shù)據(jù)…

LV5_位拆分與運(yùn)算

題目來源于??途W(wǎng)

[??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

題目

題目描述:

現(xiàn)在輸入了一個(gè)壓縮的16位數(shù)據(jù),其實(shí)際上包含了四個(gè)數(shù)據(jù),[3:0],[7:4],[11:8],[15:12]

現(xiàn)在請(qǐng)按照sel選擇輸出四個(gè)數(shù)據(jù)的相加結(jié)果,并輸出valid_out信號(hào)(在不輸出時(shí)候拉低)

0: 不輸出且只有此時(shí)的輸入有效

1:輸出[3:0]+[7:4]

2:輸出[3:0]+[11:8]

3:輸出[3:0]+[15:12]

信號(hào)示意圖:

在這里插入圖片描述

波形示意圖:

在這里插入圖片描述

輸入描述:
輸入信號(hào) d, clk, rst
類型 wire
在testbench中,clk為周期5ns的時(shí)鐘,rst為低電平復(fù)位

輸出描述:

輸出信號(hào) validout out
類型 reg

代碼

`timescale 1ns/1nsmodule data_cal(input clk,input rst,input [15:0]d,input [1:0]sel,output reg [4:0]out,output reg validout
);
//*************code***********//
/*代碼思路:先將d分為4個(gè)單獨(dú)的變量;
根據(jù)sel的值來選擇不同的輸出,case語句
*/	reg [15:0] enable_in;		//定義一個(gè)寄存器變量來存儲(chǔ)有效輸入時(shí)d的值reg [3:0] data1,data2,data3,data4 ;always @(*) beginif(sel == 0) begin		//sel=0,只有此時(shí)的輸入有效 ,將此時(shí)的d的值存起來enable_in <= d;data1 <= enable_in[3:0];data2 <= enable_in[7:4];data3 <= enable_in[11:8];data4 <= enable_in[15:12];	endend//case循環(huán),根據(jù)sel的值來執(zhí)行不同的輸出always @(posedge clk or negedge rst) beginif(!rst) beginvalidout <= 0;out <= 0;endelse begincase (sel)2'd0 : beginout <= 0;validout <= 0;enable_in <= d;end2'd1 : beginout <= data1 + data2;validout <= 1;enable_in <= 0;end2'd2 : beginout <= data1 + data3;validout <= 1;enable_in <= 0;end2'd3 : beginout <= data1 + data4;validout <= 1;enable_in <= 0;enddefault : beginout <= 0;validout <= 0;enable_in <= 0;endendcaseendend//*************code***********//
endmodule
http://aloenet.com.cn/news/47930.html

相關(guān)文章:

  • 網(wǎng)站虛擬主機(jī)購買教程seo公司網(wǎng)站推廣
  • 建設(shè)局網(wǎng)站施工合同范本上海網(wǎng)站建設(shè)哪家好
  • 企業(yè)網(wǎng)站建設(shè)開發(fā)費(fèi)用seo基礎(chǔ)知識(shí)培訓(xùn)
  • 如何設(shè)計(jì)好的網(wǎng)頁杭州網(wǎng)站seo外包
  • 做私彩網(wǎng)站需注意什么電商怎么做新手入門
  • 可以做公司網(wǎng)站廊坊快速優(yōu)化排名
  • 做的網(wǎng)站在百度搜索不到怎么樣免費(fèi)做網(wǎng)站
  • 重慶做網(wǎng)站開發(fā)的集中北京seo課程培訓(xùn)
  • 朔州如何做百度的網(wǎng)站網(wǎng)站排名查詢平臺(tái)
  • 團(tuán)購網(wǎng)站如何優(yōu)化百度推廣免費(fèi)
  • wordpress主題 小工具蘇州seo排名公司
  • 惠州網(wǎng)站建設(shè)效果業(yè)務(wù)多平臺(tái)怎么樣
  • 廬陽網(wǎng)站快速排名搜索引擎下載
  • 做網(wǎng)站優(yōu)化選阿里巴巴還是百度網(wǎng)絡(luò)營銷推廣策劃
  • 網(wǎng)站建設(shè)經(jīng)費(fèi)預(yù)算seo廣告平臺(tái)
  • 跨境網(wǎng)絡(luò)專線多少錢一年網(wǎng)站seo方案案例
  • 永清縣建設(shè)局網(wǎng)站發(fā)稿軟文公司
  • 創(chuàng)業(yè)做網(wǎng)站 優(yōu)幫云品牌推廣方案怎么寫
  • 牌具網(wǎng)站廣告怎么做開一個(gè)免費(fèi)網(wǎng)站
  • 網(wǎng)站虛擬主持百度域名收錄
  • 金融投資網(wǎng)站 php源碼aso推廣
  • 做網(wǎng)站的公司網(wǎng)站seo診斷分析和優(yōu)化方案
  • 國內(nèi)最新疫情福州seo服務(wù)
  • 什么網(wǎng)站專門做二手物品營銷公司網(wǎng)站
  • 交友網(wǎng)站開發(fā)的意義網(wǎng)站推廣的策略
  • 網(wǎng)絡(luò)營銷方式有電腦優(yōu)化軟件排行榜
  • 免費(fèi)建設(shè)門戶網(wǎng)站巨量引擎廣告投放平臺(tái)官網(wǎng)
  • 天津市網(wǎng)站建設(shè)+網(wǎng)頁制作seo網(wǎng)站排名軟件
  • 愛戰(zhàn)網(wǎng)關(guān)鍵詞挖掘查詢工具成都優(yōu)化網(wǎng)站哪家公司好
  • 洛陽網(wǎng)站推廣方式今日軍事頭條